原由

在整理個人硬碟的時候, 發現不少個人青壯時期寫的一些 Verilog 設計檔案(Behavior Language), 一時心癢, 想回味一下。於是, 就把Xilinx Vivado安裝了起來。不裝不知道, 裝了嚇一跳, 本來只是想吃一碗 “回味的泡麵” 過過癮, 想說簡單的 “小鍋子” 就該夠用了, 沒想到它卻幫我蓋了個 “中央廚房”!表列至少要 50GB 的安裝硬碟空間, 實際裝好已經逼近100GB了,  歷時三、四小時 , 也許是退休比較閒, 我就這麽傻傻地等它裝完了!

( AMD 買 Xilinx, Intel 買Altera, 嗯, 是不是也該裝一下Altera來比一比!?看看誰能 “扶龍”  ?)

既然頭都洗了, 那, 就洗徹底一點吧!

安裝 Xilinx Vivado

Xilinx Unified 2022.1 Installer - Select Destination Directory Select Destination Directory Choose installation options such as location and shortcuts. - Installation Option Select the installation directo C: \Xilinx Installation location(s Download locatio XILINX - Select shortcut and file association opti Create program group entries Xilinx Design Tools Create desktop shortcuts Create file associations Apply shortcut & file association selections O Current user o All users Disk Space Required Download Size: Disk Space Required: Final Disk Usage: Disk Space Available: 50.6 GB 143.96 84.12 663.51 GB copyright @ 1986-2022 Xilinx, Inc. All rights reserved. < Back Next > Cancel

Xilinx Unified 2022.1 Installer - Installation Progress Installation Progress Downloading files (86.02 MB | 50.60 6B) 4 h and 8 m(s) left at 4 MB/sec. Install... Final Processing... copyright @ 1986-2022 Xilinx, Inc. All rights reserved. XILINX UltraFAS1 Design Methodology Comprehensive design methodology enables accelerated and predictable design cycles < Back Install Cancel

實際大小

X Ⅲ - 內 容 一 共 用 大 小 : 大 小 : 女 全 性 以 的 93.6 GB 000 , 558 , 5 , 176 喞 1 GB 00L136 , 547 0 喞 30L265 , 39 28 炙 2022 年 6 月 15 日 , 上 牛 1017 : 32

實在有點好奇, 用 treesize工具檢查一下

Trees Free Home Select Stop Directory • Scan Scan Name Scan Refresh View Options Help o Size Allocated File Percent Space Count Mode tomatic Expand Units • Unit v 94.2 GB on [OSI 82.9 GB Vivado 82.9 GB 2022.1 75.1 GB data 4.3 G B tps 1.6GB lib 1.5 ids_lite 264.4 MB bin 43.6 MB scripts 39.7 MB Win64 22.4 MB doc 2.2 MB examples 2.1 MB fonts 368.0 KB strategies 320.0 KB include 152.0 KB reportstrategies 32.0 KB platforms O Bytes [4 Files) Expand Size 93.7 GB 82.6 GB 82.6 GB 75.0 GB 4.2 GB 1.6 GB 1.5 GB 2642 MB 41.9 MB 39.0 MB 196 MB 20 MB 2.1 MB 312.3 KB 2776 KB 1308 KB 26.5 KB 1.6 KB 4.8 GB 3.1 6B 2.1 GB 607.1 MB 4988 MB 0 Bytes 4,096 Bytes per Cluster (NTFS) Allocated 94.2 GB 82.9 GB 82.9 GB 75.1 GB 4.3 GB 16GB 1.5 GB 264.4 MB 43.6 MB 39.7 MB 22.4 MB 2.2 MB 2.1 MB 368.0 KB 320.0 KB 152.0 KB 32.0 KB 0 Bytes 4.9 3.1 2.2 635.8 MB 502.6 MB 0 Bytes Files 166,0... 166.0... 100.2... 43,191 1&074 1,876 1,362 152 10 45 23 18 4 50,275 22575 45,173 15,096 2104 Folders % of Parent Last Modified 39,428 2022/6/15 88.0%' 2022/6/15 28.026 28.025 p 100.0*2022/6/15 22,899 23 1,204 L 46 L 4,151 L 1,709 L 3,939 L 1,312 9Q7NA 2022/6/15 4.9 G B 3.1 635.8 MB 502.6 MB 0 Bytes Vitis HLS Model_Composer .xlnsta XIC DocNav Downloads 5.1 % 1.9 % 1.8% 0.3% 0.1 % 0.0% 0.0% 0.0% 0.0% 0.0% 0.0% 0.0% 0.0% 0.0% 5.2 % 3.3 % 2.3 % 0.5% 0.0% 2022/6/15 2022/6/15 2022/6/15 2022/6/15 2022/6/15 A 2022/6/15 2022/6/15 2022/6/15 2022/6/15 2022/6/15 2022/6/15 2022/6/15 2022/6/15 2022/6/15 2022/6/15 2022/6/15 2022/6/15 2022/6/15 2022/6/15 2022/6/15 Free space. 603 (of 936 GB) 301,265 Files O Excluded

試用

先不追根究底, 直接試用看看

  • 建立計畫

Vivado File Flow Tools Window Help VIVADO' ML Editions Quick Start Create Project > Open Project > Open Example Project > Q- Ouick Access

  • 提供設計源碼資料夾的位置

New project VIVADO ML Editions XlLlNk Create a New Vivado Project This wizard will guide you through the creation of a new project. TO create a Vivad0 project you Will need to provide a name and a location for your project files. Next, you Will specify the type Of you'll be working With. Finally, you Will specify your project sources and choose a default part. c: Sack Fin ish Cancel

Project Project Name Enter a name for your project and specify a directory where the project data files will be stored. Project name: Project location: tsi fifo C: /myXiIink/ug937 -design-files Create project subdirectory Choose Project location Project Will be created at: C:/myXiIinx/ug937-design-IIesftsi Recent: r: C:/myXiIinx/ug937-design-f... Directory: — DVBDECSA-v20 RTL descsa-nb dvbdecsa memory —projnav t < Back Select Next > Cancel Finish Cancel

New project Project Name Enter a name for your project and specify a directory where the project data files will be stored project name: tsi_ff0 project location: Create project subdirectory Project will be created at: < Back Next Fin ish Cancel

New project Project Type Specify the type of project to create. @ 8.TL Project ou will be able to add sources, create block designs in IP Integrator, generate IP, run RTL analysis, synthesis, "nplementatlon. design planning and analysis. o 00 not specify sources at this time o project is an extensible Vitis platform Zost-synthesis Project o You Will be able to add sources, View device resources, run design analysis, planning and implementation. not specify sources at this time o L.'O Planning Project Do not specify design sources. You will be able to view part,'package resources. o Imported Project Create a Vivado project from a Synplity Project File. o Example Project Create a new Vivado project from a predefined template. < Back Fin ish Cancel

添加源碼, 有漏掉的話, 之後還可以再添加

New P rcjecl Add Sources Specify HDL, netlist, Block can also add and create Add Source Files Look in: —projnav u _ngo dil_2x.v File name: Files Of type: tsi-fifo • dil_ • dil_ tsi•ffo-te St. tf standard_timesim.v Otsi_Mo.ngc standard_translate.v •tsi_Mo.v fifo_8b ngc _ v" •tsi_fifo. v' Recent Directories — C:/myXilinxNerilogftsi-Mo File Preview •tinwscale I / I ns Design Source Files (.vhd. vhdl, vhf, Vhdp, vh0, v, VI, verilog, vr, vg, VB, tf, Vlog, VP, vm, veo, svc, v", h, svh, vhp, svhp, edn, edf, edif, ngc Scan and add RTL include files into project Copy sources into project •J Add sources from subdirectories Target language: Verilog v %nulator language: Mixed < Back Next >

New project Add Sources Specify HDL. netlist. Block Design, and IP files. or directories containing those files, to add to your project. Create a new source file on disk and add it to your project. You can also add and create sources later. +41 —It Index Name ts_pkts_rom.v tsi Ifo.v Library xil defaultlib Xil_defaultlib HDL Source For Synthesis & Simulation Synthesis & %nulation Location o Scan and add RTL include files into project o Copy #urces into project Add sources from subdirectories Target language: Verilog Simulator language: Mixed Create File < Back Next Fin ish Cancel

  • 只是要看看設計的 “邏輯功能和行為” , 先不給限制

New project Add Constraints (optional) Specify or create constraint files for physical and timing constraints. +41 —It Cl Copy constraints files into project use Add Files or Create File buttons below < Back Next Fin ish Cancel

  • 只是要看看設計的 “邏輯功能和行為”, 就不挑晶片

New project Default Part Choose a default Xilinx part or board for your project. I aoards Reset All Filters Category: Family: Search: General purpose Al Remaining All Remaining Al Remaining I-LIT Elements 41000 41000 41000 41000 41000 41000 101400 101400 Block RAMS 135 135 325 325 < Back Temperature: Static power: ultra RAMS Next All Remaining Al Remaining xc7k70tfbvE76-2L xc7k70tfbv€76-1 xc7k70t%g484-2L xc7k70tl%76-2L xc7k70t&v484-2L xc7k1S0trog484-3 xc7k1SOtfDg484-2 VO Pin count 676 676 676 package: Speed Available IOBs 300 300 235 FlipFIops 82000 82000 202800 202800 DSPs 240 240 SOO soo Gb Transceivers 4 Fin ish Cancel

New project VIVADO ML Editions XlLlNk New Project Summary OA new RTL project named tsi_lfo' Will be created. 02 source files Will be added. o No constraints files will be added. use Add Sources to add them later. O The default part and product family for the new project: Default Part: xc7k70tfbv676-1 Family: Kintex-7 Package: fbv676 Speed Grade: -I TO create the project. click Finish < Back Next > Fin ish Cancel

  • 加入要模擬的源碼, 一般就是把模擬樣本 (test vector / pattern) 和設計的源碼寫在一起 (串在一起)

tsi fifo - [C:/rnyXiIinVVeriIog/tsi-fifo/tsi fifo/tsi fifo:xprl - Vivacio POPP_I Eile Edit Flow p roject Add Sources... Close p roject Checkpoint Constraints Tools Reports Window Layout View PROJECT MANAGER - tsi fifo Alt+A Sources Design Sources (2) Help Q Quick Access Simulation Waveform 'p T ext Editor lnpcrt Export v SIMULATION Run Simulation RTL ANALYSIS > Open Elaborated Design Hierarchy Ctrltp TCI Console synth_l > impl_l Libraries Compile Order Select an Object to see properties Messages Constraints constrs_ I constrs 1 Log Reports Design Runs WNS TNS WHS ? —oc.sx WESS Status Not started Not started

Add Sources VIVADO ML EditMs XlLlNk Add Sources This guides you through the process Of adding and creating sources for your project O Add or greate constraints O Add or create design sources • Add or create simulation sources < Back Next Cancel

Add Sources Add or Create Simulation Sources tsi_Mo v Specify s"nulation specific HDL your project. Specify simulation set: (j) Scan and add R TL inclu (V) Copy sources into project Add sources from subdir Include all design sourc Add Source Files Look In: —projnav tsi-fifo _ngo xst dil_2x.v dll_standard.ngc dll_standard_translate.v tsi-fifo-te St tsi_MO ngc tsi_fifO test V t o 'a ± •zxc Recent Directories — C:/myXilinx.Nerilogftsi-Mo File Preview tinescalc I IIS / Ins rmdule // DATE: UOD(ÆE DESTGV: File name: Files of type: tsi MO test.v Design Source Files (.vhd. vhdl. vhf. vhdp. vho. v. Vt. verilog. vr. vg. vb. ff. vlog. vp, vm, veo, svo. uh. < Back Next Finish Cancel Cancel

  • 試跑, 有錯的話, 會有警告或錯誤訊息

tsi fifo - [C:/myXiIinVVeriIog/tsi-fifo/tsi fifo/tsi rifcxxprl - Vivacio Eile Edit Flow Tools Reports Window Layout View fifo Help Q Quick Access Flow Navigator v PROJECT MANAGER Settings Add Sources Language Templates Catalog v IP INTEGRATOR Create Block Design Open Block Design Generate Block Design v SIMULATION Run Simulation v RTL ANALYSIS > Open Elaborated Design PROJECT MANAGER Sources Design Sourc _ _ t'i_fif9 - tsi project Summary Critical Messages There were two error messages While Run Simulation. Messages O (USF-XSim-621 'elaborate' step failed With error(s). Please check the TCI console output or file for more information, O (Vivado 1244731 Detected error While running simulation. Please correct the issue and retry this operation. K int tsi_f Veri TCI Console synth_l > impl_l Me constrs I Open Messages View Not started

依照訊息的提示, 一一解決出現錯誤的位置

PROJECT MANAGER - tsi fifo Sources sim 1 ( Hierarchy Libraries Compile Order Source File properties Project Summary x tsi fifo test.v . rst_fi _psync_out (psync_out ) . Read-only General properties TCI Console Messages x Log Reports Design Runs O Error (5) O Warning (2) C) O (17) D tus (11) for more information. O (Vivado 12—44731 Detected error While running simulation. Please correct the iss and retry this operation, v Sinulation (3 errors) sim_l (3 errors) O (VRFC 10-31801 cannot find port Zlk_27m' on this module O [VRFC 10-20631 Module not found While processing module instance O [XSIM 43-33221 Static elaboration of top level Verilog design unit(s) in library work failed.

發現有漏掉設計源碼檔案

PROJECT MANAGER . tsi_fifo Sources Hierarchy Libraries Compile Order Project Summary x tsi fifo test.v forcvcr clk_27m - -clk 27m; end // WI s,'anåarå is fran xi/inr dll standard reg 12:01 clock_div I ways É(poscdgc (clk_27m, ? oc.s Read-only clk_27mx Source File properties General Properties TCI console Messages -reset, clk_27mx2 or ncgcdgc reset) x Log Reports Design Runs Error (5) O Warning (2) CD O Info (17) C) status (11) for more information. O tv'ivado 12-44731 Detected error while running simulation. Please correct the issue and retry this operation. v %nulation (3 errors) sin_l (3 errors) O [VRFC 10-31801 cannot find port 'clk_27m' on this module O (VRFC 10-20631 Module not found While processing module instance O [XSIM 43-33221 Static elaboration Of top level Verilog design unit(s) in library work failed.

繼續加入設計源碼

tsi fifo - [C:/rnyXiIinxNeriIog/tsi-fifo/Isi Eile Edit Flow Tools Reports Add Sources... Close p Checkpoint Constraints Simulation Waveform T ext Editor Export Print. Ctrl

Add Sources VIVADO ML EditMs XlLlNk Add Sources This guides you through the process Of adding and creating sources for your project O Add or greate constraints • Add or create design sources O Add or create simulation sources < Back Next Cancel

檢視已加入的設計源碼

PROJECT MANAGER - tsi MO Sources Design Sources ) • tsi_Mo (tsi_fifo.v) (1) dll_standard ts_pkts_rom Constraints Simulation Sources ( sim_l (I) ) tsi_Mo_test (tsi_Mo_test.v) (3) utility Sources Hierarchy Libraries Compile Order

再試跑一次

tsi fifo - Eile Edit Flow Flow Navigator Tools PROJECT MANAGER Settings Add Sources Language Templates Catalog IP INTEGRATOR Create Block Design Open Block Design Generate Block Design v SIMULATION Run Simulation RTL ANALYSIS > Open Elaborated Design SYNTHESIS Run Synthesis

只跑 “邏輯行為” 模擬 ( Behavioral Simulation)

SIMULATION Run %nulation RTL ANALYS > Open El SYNTHESIS Run Synthe a Run Behavioral Simulation aun aun Run Run Post•Synthesis Functional Simulation Post•Synthesis Timing Simulation Post-Implementation Functional Simulation post-Implementation Timing Simulation

依然發生錯誤, 2004年的Xilin產出的 IP, 現在的Vivado不認得(或者不存在)

TCI Console Messages x Log Reports Design Runs Error ( 15) CD Warning (4) CD O Info (31) CD @ Status (17) for more information. (1 more like this) O Mvad0 12—44731 Detected error While running simulation. Please correct the issue and retry this operation. (1 more like this) v S"nulation (Il errors) v sin 1 (11 errors) O (VRFC 10-31801 cannot find port 'clk_27m' on this module O C 10-20631 Module not found while processing module instance (8 more like this) OftXSlM 43-33221 Static elaboration of top level Verilog design library work failed.

之前使用Xilinx的倍頻 IP在 Vivado使用似乎有問題!?

Generating a 4X Clock Sparty’s Favorite Recipes 019 Kiss Your ASIC Good-bye!
Ingredients:
    • Any Spartan-II Device
    • 2.1i Development System
    • VHDL or Verilog
Nutritional Analysis:
    • DLLs: 2
    • BUFGs: 2
    • CLBs: 1
Instructions

By connecting two DLL circuits each implementing a 2x clock multiplier in series as shown below, a 4x clock multiply can be implemented with zero skew between registers in the same device. When using this circuit it is vital to use the SRL16 cell to reset the second DLL after the initial chip reset, so that the second DLL will recognize the change of frequencies when the input changes from a 1x (25/75) waveform to a 2x (50/50) waveform.

VHDL

— DLL 2X and 4X Example

library ieee;
use ieee.std_logic_1164.all;
library unisim;
use unisim.vcomponents.all;

entity dll_standard is
port (CLKIN : in std_logic;
RESET : in std_logic;
CLK2X : out std_logic;
CLK4X : out std_logic;
LOCKED: out std_logic);
end DLL_standard;

architecture structural of DLL_standard is

signal CLKIN_w, RESET_w, CLK2X_DLL, CLK2X_g, CLK4X_DLL,
CLK4X_g : std_logic;
signal LOCKED2X, LOCKED2X_delay, RESET4X,
LOCKED4X_DLL : std_logic;
signal logic1 : std_logic;

begin

logic1 <= ‘1’;

clkpad : IBUFG port map (I=>CLKIN, O=>CLKIN_w);
rstpad : IBUF port map (I=>RESET, O=>RESET_w);

dll2x : CLKDLL port map (CLKIN=>CLKIN_w, CLKFB=>CLK2X_g, RST=>RESET_w,
CLK0=>open, CLK90=>open, CLK180=>open,
CLK270=>open, CLK2X=>CLK2X_DLL, CLKDV=>open,
LOCKED=>LOCKED2X);

clk2xg : BUFG port map (I=>CLK2X_DLL, O=>CLK2X_g);

rstsrl : SRL16 port map (D=>LOCKED2X, CLK=>CLK2X_g, Q=>LOCKED2X_delay,
A3=>logic1, A2=>logic1, A1=>logic1, A0=>logic1);

RESET4X <= not LOCKED2X_delay;

dll4x : CLKDLL port map (CLKIN=>CLK2X_g, CLKFB=>CLK4X_g, RST=>RESET4X,
CLK0=>open, CLK90=>open, CLK180=>open,
CLK270=>open, CLK2X=>CLK4X_DLL, CLKDV=>open,
LOCKED=>LOCKED4X_DLL);

clk4xg : BUFG port map (I=>CLK4X_DLL, O=>CLK4X);
lckpad : OBUF port map (I=>LOCKED4X_DLL, O=>LOCKED);

CLK2X <= CLK2X_g;
CLK4X <= CLK4X_g;

end structural;

Verilog

// DLL 2X and 4X Example
//

module DLL_standard (CLKIN, RESET, CLK2X, CLK4X, LOCKED);
input CLKIN, RESET;
output CLK2X, CLK4X, LOCKED;

wire CLKIN_w, RESET_w, CLK2X_DLL, CLK4X_DLL, LOCKED2X;
wire LOCKED2X_delay, RESET4X;
wire logic1;

assign logic1 = 1’b1;

IBUFG clkpad (.I(CLKIN), .O(CLKIN_w));
IBUF rstpad (.I(RESET), .O(RESET_w));

CLKDLL dll2x (.CLKIN(CLKIN_w), .CLKFB(CLK2X), .RST(RESET_w),
.CLK0(), .CLK90(), .CLK180(), .CLK270(),
.CLK2X(CLK2X_DLL), .CLKDV(), .LOCKED(LOCKED2X));

BUFG clk2xg (.I(CLK2X_DLL), .O(CLK2X));
SRL16 rstsrl (.D(LOCKED2X), .CLK(CLK2X), .Q(LOCKED2X_delay),
.A3(logic1), .A2(logic1), .A1(logic1), .A0(logic1));

assign RESET4X = !LOCKED2X_delay;

CLKDLL dll4x (.CLKIN(CLK2X), .CLKFB(CLK4X), .RST(RESET4X),
.CLK0(), .CLK90(), .CLK180(), .CLK270(),
.CLK2X(CLK4X_DLL), .CLKDV(), .LOCKED(LOCKED_DLL));

BUFG clk4xg (.I(CLK4X_DLL), .O(CLK4X));
OBUF lckpad (.I(LOCKED_DLL), .O(LOCKED));

endmodule

Related Information

XAPP174

來自 <http://ebook.pldworld.com/_semiconductors/Xilinx/DataSource%20CD-ROM/Rev.1%20(Q2-2000)/docs/rp00007/rp007ad.htm>

2004年的IP可能已過時, 在Vivado找不到或者不存在, 最後決定在 Vivado 的 “IP 庫” 裡重新創建一個倍頻模組 (PLL type)

(頭都洗了, 不差再洗身體和腳, 就洗個徹底吧!)

Eile Edit Flow Tools Flow Navigator v PROJECT MANAGER Settings Add Sources Language Templates v IP INTEGRATOR Create Block Design Open Block Design Generate Block Design v SIMULATION Run Sinulation v RTL ANALYSIS > Open Elaborated Design v SYNTHESIS Run Synthesis tsi fifo - [C:/myXiIinK/VeriIog/tsi-fifo/tsi fifo/tsi fifcxxprl - Vivacio -tsi fifo Reports Window Layout PROJECT MANAGER Sources Design Sources (3) tsi_fifo Hierarchy Libraries Source File Properties View Help Q Quick Access standard translate.v I Interfaces Cores Search: Viva d n Details x catalog (2) Ready • Default Layout x IP Catalog (3) (tsi_fifo.v) (1) Compile Order General Properties TCI Console Messages x Log Reports Design Runs O Error (15) O O Waming (6) Select an IP or Interface or Repository to see details C) 0 Info (45) C) @ status (23) O (IJSF-XSim-621 •elaborate' step failed With error(s). Please check the TCI console output or file for more information. (2 more like this) O Nivado 12-44731 Detected error while running simulation. Please correct the issue and retry this operation. (2 more like this) Simulation (9 errors) v sim_l (9 errors) O [VRFC 10-31801 cannot find port 'clk_27m' on this module O tv'RFC 10-20631 Module <X_CKBUF> not found while processing module instance <clkpad> (6 more like this)

  • 在 IP Catalog 裡尋找 clock 相關的 IP設計

PROJECT MANAGER . tsi_fifo Project Summary x tsi_fifo test.v x IP catalog X dll standard translate.v Production Production Production x IP catalog (2) x IP catalog (3) e I Interfaces Cores Search: Q clock anoeuueu —rucessvng AXI Infrastructure AX Clock Converter Clock & Reset Processor System Reset FpGA Features and Design Clocking Clocking Wizard (9 matches) A 1 AX14 AXA AXA License Included Included Included VLNV Details Interfaces: Description TCI Console Clocking Wizard 6.0 (Rev. 10) AXA The Clocking Wizard creates an HDL file (Verilog or VHDL) that contains a clocking circuit customized to the users clocking requirements. Messages Log Reports Design Runs

  • 訂製一個屬於自己規格的 IP 模塊

Customize IP Clocking Wizard (6.0) O Documentation IP Location IP Symbol Resource Show disabled ports C Switch to Defaults Component Name clk_wiz O Clocking Options Output Clocks Clock Monitor o Enable Clock Monitoring Port Renaming PLLE2 settings Summary reset clk_inl clk_outl Primitive C) MMCM @ PLL Clocking Features Frequency Synthesis •J Phase Alignment C) Dynamic Reconfig o Safe Clock Startup Dynamic Reconfig Interface Minimize Power Jitter Optimization • Balanced C) Minimize Output Jitter o Maximize Input Jitter filtering

客製自己的規格需求

Input Clock Information 19000 • 800000 21 G22 - 43243 Input Clock primary Secondary Port Name clk inl clk-in2 Input Frequency(MHz) 27 .ooo 100.000 Jitter Options UI Input Jitter 0.010 0.010 Cancel

Customize IP Clocking Wizard (6.0) O Documentation IP Location IP Symbol Resource CD Show disabled ports C Switch to Defaults Component Name clk_wiz O Clocking Options Output Clocks Port Renaming The phase is calculated relative to the active input clock. PLLE2 settings Output Freq ( Output Clock Port Name Re uested Clk_outl elk outl 54.000 Summary Phase (degrees) Requested out! Actual 99.90000 clk inl clk outl locked CD Clk_0ut3 CIk_out4 CIk_0ut5 C) Clk_outS clk clk clk elk out3 out4 outs out6 100.000 100.000 100.000 100.000 WARNING : The Requested frequency value for clk_outl can not be achieved. Please change the requested frequency or C) usE CLOCK SEQUENCING Clocking Feedback Output Clock Sequence Number Signaling Cancel

Enable 0 一 02 : 名 uts Outputs fo 「 •J 一 00k d power_down ResetType ActiveHigh ActiveLOW Ⅱ 凵

  • 確認需求無誤之後產出 IP, 會產出在計畫所在位置的資料夾裡

Generate Output products The following output products will be generated Preview (OOC per IP) fil Instantiation Template Synthesized Checkpoint (.dcp) Structural Simulation Synthesis Options o Global • Out of context per Run Settings • On local host: o Generate scripts only o DA not launch Apply Number of jobs: 4 Skip

Generate Output Products o Out-of-context module run was launched for generating output products.

  • 修改 simulation test file, 改用新產出的 IP模塊

82 84 85 88 91 93 97 99 100 IDI 102 103 IDA 105 106 ID7 10B 109 111 112 113 114 begin ts clk in O # (TSI_CLK CYCLE/ 2) end a ways begin clk 27m = 0 ts clk in = —ts clk in; 27m; foraver CLK CYCLE/2) clk_27tn = end // dll standard module is from xilinx clk wiz 0 i clk wiz 0 (clk 27mx2, —reset, d11 locked, reg 2 clock div , always @ (posedge clk 27mx2 or negedge reset) begin if ( ! reset) clock div else if (dll locked) clock div clock div+l else clock div clock div ; end assign ts clk out = clock div(Cjl always @ (posedge begin if reset) ts rom_byte count 8'hO else if (ts rom byte count— clk 27m) ;

殘留的舊 IP 模塊先留著, 應該不影響後續跑模擬

PROJECT MANAGER . tsi_fifo dog x IP catalog (2) x IP catalog (3) x clk wiz O.v .gen,'sources_l ' mdulc clk Niz O Read-only output // Sra rus nput output clk outl. reset , I ockcd. TCI Console Messages x Log Reports Design Runs •J O Error (13) O Warning (31) g' file for more information. (5 more like this) O [Vivado 1244731 Detected error while running simulation. Please correct the issue and retry this operation. (5 more like this) O [#UNDEFJ Time value 526.400 is not a valid number Synthesis (1 warning, 17 Status messages) Out-of-context Module Runs (1 warning. 17 status messages) clk_wiz O_synth_l (1 warning, 17 status messages) ) @ Command: design •top clk Wiz O •part XC7k70ttV67S•l Sources Design Sources (4) > tsi_fifo (tsi_fifo.v) (1) wiz O (1) dll_standard ts_pkts_rom v) Constraints constrs 1 Simulation Sources ( sirn_l (2) v tsi_fifo_test (3) i_ts_pkts_rom ts_pkts_rom (ts_pkts_rom.v) > 'Jut : tsi_fifo (1) > Q @ i_clk_wiz_O : clk_wiz_O (clk_wiz_O.xci) (1) dll_standard utility Sources Hierarchy IP Sources Libraries Compile Order

  • 修改模擬設定, 加大模擬的時間 (預設只有 1000ns)

SIMULATION Run Simulati > RTL ANALYSIS SYNTHESIS Run Synthesi > Open Synth TCI Console Messages Simulation Settings. Reset Behavioral Simulation Reset Post-synthesis Functional Simulation Reset post-synthesis Timing Simulation Reset Post-Implementation Functional Simulation Reset Post-implementation Timing Simulation

Settings Project Settings General Simulation Elaboration Synthesis I mplementation 3itstream Tool Settings Project IP Defaults > Vivad0 Store source File Display Help Text Editor 3rd party Simulators Colors Simulation Specify various settings associated to %nulation Target simulator: %nulator language: Simulation set: Vivad0 Simulator Mixed %nulation top module name: o Generate Shlulation scripts only Compilation Elaboration Simulation xsim.sfi7ulate.tcl post xsim.simulate.runtime• Netlist 526400ns Select an option above to see a description Of it Cancel Advanced Apply Restore.

  • 開始正式跑模擬

SIMULATION Run Simul > RTLANALYS SYNTHESIS Run Sy Open S TCI Console Run Behavioral Simulation Messages Run Post•Synthesis Functional Simulation Run Post•Synthesis Timing Simulation Run post-Implementation Functional Simulation Run post-Implementation Timing Simulation : 00

  • 模擬結果輸出

Untitled Name reset clk_27m psync_in 1' pvld_in psync_out pvld_out Value 00

到此, 一碗 “回味的泡麵” 算是煮好了!

回頭來時路,Verilog 再體驗

發佈留言

發佈留言必須填寫的電子郵件地址不會公開。 必填欄位標示為 *